DataMuseum.dk

Presents historical artifacts from the history of:

CR80 Wang WCS documentation floppies

This is an automatic "excavation" of a thematic subset of
artifacts from Datamuseum.dk's BitArchive.

See our Wiki for more about CR80 Wang WCS documentation floppies

Excavated with: AutoArchaeologist - Free & Open Source Software.


top - download

⟦2284bd8fc⟧ Wang Wps File

    Length: 14348 (0x380c)
    Types: Wang Wps File
    Notes: PC/AUX/008 (3)            
    Names: »3954A «

Derivation

└─⟦375fa32af⟧ Bits:30006243 8" Wang WCS floppy, CR 0325A
    └─ ⟦this⟧ »3954A « 

WangText






…02… PC/AUX/008       

…02… KPL/831202 …02…#
GENERATION OF PC BUILD ONE 
…02… PMO/830818…02…PC








                                   
                 GENERATION OF PC BUILD ONE
                                           


                 PC/AUX/008













                 PER M[LLER-OLSEN &
                 KENNETH PLESNER




                 B[RGE HERMANSEN







                 KPL, PMO, EHO, SNO, BHE, File (1)
                                                      















                              


         3



         831202            PC CONF.MGR.   831213 



            …02… PC/AUX/008      

…02… KPL/831202    iii
GENERATION OF PC BULD ONE
                     …02…        …02…    PC 










                                      TABLE OF CONTENTS






     1.  SCOPE ......................................  1
                

     2.  HARDWARE REQUIREMENT .......................  1
          

     3.  SOFTWARE REQUIREMENT  ......................  3
          

     4.  SYSTEM GENERATION ..........................  4
          
       4.1 Input to SYSGEN ..........................  5
            

     5.  MEMORY LAYOUT ..............................  6

       5.1 RAM Contents  ............................  6
       5.2 EPROM Contents ...........................  8
         5.2.1 Application SW .......................  8
         5.2.2 Maintenance Controller (MAC) .........  8
         5.2.3 Generation of EPROM's ................  9
         5.2.4 Checksum Adjustment .................. 10

     6.  MEMORY REQUIREMENT ......................... 12

       Appendix A



                         1 S̲C̲O̲P̲E̲



         This document describes the requirements for PC Build
         One.

         Section 5.2 describes how to upgrade the Build One
         SW system to an EPROM…08…ed version.

                  2 H̲A̲R̲D̲W̲A̲R̲E̲ ̲R̲E̲Q̲U̲I̲R̲E̲M̲E̲N̲T̲



         CPU ̲SCM
         128K RAM
         2 LTU
         Floppy Disk Controler
         Floppy Disk
         VDU
         64K EPROM









 +-------------------------------------------------+
 ^ +---------+ +---------+ +---------+ +---------+ ^
 ^ ^         ^ ^         ^ ^         ^ ^         ^ ^
 ^ ^         ^ ^         ^ ^         ^ ^         ^ ^
+-------+   +-------+   +-------+   +-------+   +-------+
^ CPU   ^   ^ RAM   ^   ^ FD    ^   ^ LTU   ^   ^ LTU
   ^
^ SCM   ^   ^       ^   ^ CTRL  ^   ^       ^   ^  
     ^
^       ^   ^       ^   ^       ^   ^       ^   ^  
     ^
^       ^   ^       ^   ^       ^   ^       ^   ^  
     ^
^       ^   ^       ^   ^       ^   ^       ^   ^  
     ^
^       ^   ^       ^   ^       ^   ^       ^   ^  
     ^
+-------+   +-------+   +-------+   +-------+   +-------+
    ^                       ^           ^          
 ^
    ^                       ^           ^          
 +---- CAMPS/SCARS
    ^                       ^           ^
    ^                       ^           +-----------------CCIS
+-------+               +-------+
^       ^               ^       ^
^ VDU   ^               ^ FLOPPY^
^       ^               ^ DISK  ^
+-------+               +-------+


















                    FIGURE 2-1

              HARDWARE CONFIGURATION



                 3 S̲O̲F̲T̲W̲A̲R̲E̲ ̲R̲E̲Q̲U̲I̲R̲E̲M̲E̲N̲T̲ 



         STANDARD AMOS
           Program in page 0
           Data in page 1

           In PC Build One page 0 and 1 is RAM area, apart from
           the upper 2K memory area in page 0 which is SCM EPROM.

         SYSGEN
           The system Generation Program CSS/121 for the CR80
           AMOS.

         AMU
           Master Clear with bootloader from floppy disk,
           located in the SCM EPROM.



                   4 S̲Y̲S̲T̲E̲M̲ ̲G̲E̲N̲E̲R̲A̲T̲I̲O̲N̲



         The following modules are used for system generation:

         INIT
         KERNEL
         ROOT
         IDLE
         PC ̲MON
         SCADRV
         CISDRV
         CI
         TRC
         CPA
         CSPA

         The files are used as input to SYSGEN.

         The system generation is performed in the directory
…0c…         a**PC.D*SYSTEM.D*SYSGEN.D.
         



4.1      I̲N̲P̲U̲T̲ ̲T̲O̲ ̲S̲Y̲S̲G̲E̲N̲

         #400
         INIT

…0c…         a**GENS.D*SYSGEN.D*INIT.C
         KERNEL

…0c…         a**GENS.D*SYSGEN.D*KERNEL.C
         CPU

         1
         COM
         0
         0
         2
         30
         0
         2
         60
         0
         2
         90
         0
         PCB

         26
         MSG

         55
         ROOT

…0c…         a**GENS.D*SYSGEN.D*ROOT.C
         IDLE

         0
…0c…         a**GENS.D*SYSGEN.D*IDLE.C

…0c…         a**PC.D*MON.D*LINK.D*V0103.D*PC ̲MON.C

…0c…         a**PC.D*SCADRV.D*LINK.D*V0101.D*SCADRV.C

…0c…         a**PC.D*CISDRV.D*LINK.D*V0101.D*CISDRV.C


…0c…         a**PC.D*CI.D*LINK.D*V0104.D*CI.C

…0c…         a**PC.D*TRC.D*LINK.D*V0101.D*TRC.C

…0c…         a**PC.D*CPA.D*LINK.D*V0101.D*CPA.C

…0c…         a**PC.D*CSPA.D*LINK.D*V0101.D*CSPA.C
         EXIT



                     5 M̲E̲M̲O̲R̲Y̲ ̲L̲A̲Y̲O̲U̲T̲



5.1      R̲A̲M̲ ̲C̲O̲N̲T̲E̲N̲T̲S̲ ̲

         This figure shows how the program area is layed out
         after initialization of the system.

         …01…===== PAGE 0 =====

         #0000              ..AMOS + PC ̲MON...    2K
                            ..AMOS + PC ̲MON...    4K
                            ..SCADRV..........    6K
                            ..CI..............    8K
                            ..TRC.............   10K
                            ..CPA.............   12K
                            ..CSPA............   14K
                            ..................   16K
                            ..................   18K
                            ..................   20K
                            ..................   22K
                            ..................   24K
                            ..................   26K
                            ..................   28K
                            ..................   30K
         #8000              ..................   32K
                            ..................   34K
                            ..................   36K
                            ..................   38K
                            ..................   40K
                            ..................   42K
                            ..................   44K
                            ..................   46K
                            ..................   48K
                            ..................   50K
                            ..................   52K
                            ..................   54K
                            ..................   56K
                            ..................   58K
                            ..................   60K
                            ..................   62K
                            ..SCM.EPROM.......   64K
         #FFFF



         This figure shows how the data area is layed out after
         initialization of the system.

                    ===== PAGE 1 =====

         #0000              ..AMOS............    2K
                            ..AMOS............    4K
                            ..AMOS............    6K
                            ..SCADRV..........    8K
                            ..SCADRV..........   10K
                            ..SCADRV..........   12K
                            ..SCADRV..........   14K
                            ..CISDRV..........   16K
                            ..CISDRV..........   18K
                            ..CISDRV..........   20K
                            ..CISDRV..........   22K
                            ..CI..............   24K
                            ..TRC + CPA + CSPA   26K
                            ..................   28K
                            ..................   30K
         #8000              ..PC ̲COMMON.......   32K
                            ..PC ̲COMMON.......   34K
                            ..PC ̲COMMON.......   36K
                            ..PC ̲COMMON.......   38K
                            ..PC ̲COMMON.......   40K
                            ..PC ̲COMMON.......   42K
                            ..PC ̲COMMON.......   44K
                            ..................   46K
                            ..................   48K
                            ..................   50K
                            ..................   52K
                            ..................   54K
                            ..................   56K
                            ..................   58K
                            ..................   60K
                            ..................   62K
                            ..................   64K
         #FFFF



5.2      E̲P̲R̲O̲M̲ ̲C̲O̲N̲T̲E̲N̲T̲S̲

         This section describes the use of the SCM PROM and
         EPROM in an operational PC.



5.2.1    A̲P̲P̲L̲I̲C̲A̲T̲I̲O̲N̲ ̲S̲W̲

         The application SW system generated by the SYSGEN utility
         as shown in section 4.1 is stored in EPROM, memory
         page 2 from #400. The upper address in EPROM should
         not exceed #DFFF.

         System initialization is performed by copying the EPROM
         from address 0 thorugh #DFFF to RAM memory page 0,
         address 0 through #DFFF.

         Address #400 in page 0 may then be used for the CR80
         'load process' instruction (LDN), which will start
         the AMOS INIT program.

         The EPROM to RAM copying is performed by invocation
         of the Maintenance Controller (MAC) INIT command.

5.2.2    M̲a̲i̲n̲t̲e̲n̲a̲n̲c̲e̲ ̲C̲o̲n̲t̲r̲o̲l̲l̲e̲r̲ ̲(̲M̲A̲C̲)̲

         The MAC software is located in EPROM at memory page
         2 from address #E000. MAC SW must not exceed #F7FF.

         Initialization of MAC is performed by copying the EPROM
         from #E000 to #F7FF to RAM memory page 0 #E000 to #F7FF.
         MAC is loaded by the 'load process' instruction (LDN)
         with #E000 used as base.

         MAC is automatically loaded from EPROM whenever a masterclear
         (programmed or manual) occurs, or during power up.

         A small program located in the SCM PROM (page 0, #F800
         through #FFFF) with base #FFE0 is responsible for loading
         the MAC.

         In an operational PC PU this program will substitute
         the ordinary bootloader utilities usually implemented
         in the SCM PROM such as the AMU mentioned in section
         3.



5.2.3    G̲E̲N̲E̲R̲A̲T̲I̲O̲N̲ ̲O̲F̲ ̲E̲P̲R̲O̲M̲'̲s̲

         In order to generate a set of 2764 EPROM's use the
         SYSGEN utility version 0304. In the boot module generated
         by SYSGEN applications programs should start at addresses
         which are multiple of 8K words. Smaller packages may
         be held together within 8K word blocks.

         This blocking is accomplished by using the FILL options
         in SYSGEN V0304. If module A has a start address plus
         length below address #8000, and module B should start
         at #8000 enter the following lines during SYSGEN dialogue:


                            .
                            .
                            .
                   file name for module A
                   FILL
                   #8000
                   file name for module B
                            .
                            .
                            .
                            .

         SYSGEN is performed in the directory a**PC.D*SYSTEM.D*SYSGEN.D.

         N̲o̲t̲e̲ ̲t̲h̲e̲ ̲s̲i̲z̲e̲ ̲o̲f̲ ̲t̲h̲e̲ ̲S̲Y̲S̲G̲E̲N̲ ̲o̲u̲t̲p̲u̲t̲ ̲f̲i̲l̲e̲.

         In order to generate a complete file to be prommed
         enter the directory …0c…a**PC.D*SYSTEM.D*CONCAT.D.

         The file PROMFILE.B is now to be generated to match
         the 64K words EPROM contents. PROMFILE.B is a concatenation
          of four files:

          ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲
         ̲ ̲ ̲ ̲ ̲ ̲

         SIZE      FILE
          ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲
         ̲ ̲ ̲ ̲ ̲ ̲

         2048 bytes    HEADER ̲FILL.B

         C bytes       a**PC.D*SYSTEM.D*SYSGEN.D*C

         X bytes       FILL.B

                       a**PC.D*MAC.D*TEST.D*SYSGEN.B
          ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲ ̲
         ̲ ̲ ̲ ̲ ̲ ̲


         Since the fourth file containing MAC must start at
         #E000 = 114688 bytes the required size of the intermediate
         fill file FILL.B becomes:

          114688 - 2048 - C = X bytes

         FILL.B is produced by cutting off the first D-X bytes
         of the file FILL.S using the CEDIT utility. D is the
         size of the file FILL.S

         Verify the concatenation process by utility BINHEX
         on the file PROMFILE.B. The MAC Package should start
         at #E000 with the special INIT module combined program/process
         header.

         To summaraize the concatenation process:

             enter DO FILL.J and
                   DO CONCAT.J and
                   BINHEX I:PROMFILE.B

         Verify that MAC is located at address #E000.



5.2.4    C̲H̲E̲C̲K̲S̲U̲M̲ ̲A̲D̲J̲U̲S̲T̲M̲E̲N̲T̲

         To format the file for the PROM Programmer enter the
         directory:

             a**PC.D*SYSTEM.D*PROMGEN.D

             The PC PROMGEN V0102 should be used.

         Enter the command:

             DO P ̲ALL.J

         and write down the checksums for PROM files generated,
         i.e. files PE15.B, PF15.B. PE18.B, PF18.B, ...., PE37.B,
         and PF37.B.

         Add all the above checksums for the PE ... - files.
         Add all the checksums for the PF ... - files.

         Calculate a hexadecimal byte number which will make
         the PE sum end at 00 when added to it. This is the
         LSByte adjustment number.

         The MSByte checksum number is calculated as shown below:

             sum of PE checksums = XXYY
             sum of PF checksums = VVZZ


         LSByte adjustment = #100-YY
         MSByte adjustment = #100-(XX+1+ZZ)

         Enter the MAC main module directory and add the LSByte
         adjustment to the variable EPROM ̲CHECKSUM ̲LEAST, least
         significant byte. Add the MSByte adjustment to the
         variable EPROM ̲CHECKSUM ̲MOST, most significant byte.
         Recompile, Link, and SYSGEN the MAC package in directory
         PC.D*MAC.D*TEST.D.

         After a new run of CONCAT and PROMGEN the new checksum
         values are:

             sum of PE checksums = XXYY = XX00
             sum of PF checksums = VVZZ

         where

             XX + ZZ = #100

         Checksumming the EPROM by adding words will result
         in a checksum with four zeroes at its tail.

         Mount two floppy discs with volume names PCUPPPER and
         PCLOWER. Copy the PROM files to the floppy discs using
         the command file COPY.J. Note how files are renamed
         to match the PROM numbers on the CR8013 EPROM board.

         Generation of PROMs using the DATA I/O connected to
         the SSD departments CR80 is shown in appendix A.

         Volumes PCUPPER and PCLOWER must be mounted on the
         system.

         The DATA I/O is set to control the data flow from the
         CR80 by entering:

             keyboard/select/D2/enter/start

         On the nearby TEXAS silent enter the commands shown
         in appendix A.

         Verify the checksum and enter:

             prog/start

         on the DATA I/O SYSTEM 19

         PROMs are labelled 2437-xx thru 2554-xx, where xx is
         the PC versions.

         PROM version numbers (xx) must be reserved for use
         in PC. Furthermore, a new PC SW Delivery Status sheet
         must be issued reflecting the SW package versions of
         the currently released set of PROMs.


                   6 M̲E̲M̲O̲R̲Y̲ ̲R̲E̲Q̲U̲I̲R̲E̲M̲E̲N̲T̲





  Module         Program              Data
                 Page 0               Page 1


--------------+------^------^------+------^------^------
              ^    Size     ^ Addr ^    Size     ^ Addr
              +--------------------+--------------------
              ^ Hex  ^ Dec  ^ Hex  ^ Hex  ^ Dec  ^ Hex
              +--------------------+--------------------
              ^                    ^
  AMOS        ^ #1100   4352    -  ^ #1524   5412    -
              ^                    ^
  PC ̲MON      ^ #005C     92  #1100^   -      -      -
              ^                    ^
  SCADRV      ^ #091A   2330  #115C^ #2280   8832  #15A4
            ^                    ^
  CISDRV      ^   -      -      -  ^ #2280   8832  #3824
            ^                    ^
  CI          ^ #0C15   3093  #1A76^ #0680   1664  #5AA4
            ^                    ^
  TRC         ^ #06CB   1739  #268B^ #0380    896  #6124
            ^                    ^
  CPA         ^ #0991   2449  #2D56^ #0400   1024  #64A4
              ^                    ^
  CSPA        ^ #078C   1932  #36E7^ #0189    393  #68A4
            ^                    ^
  PC ̲COMMON   ^   -      -      -  ^   -      -    #8000

AMOS includes: KERNEL, ROOT, RTC, MEMMGR and IDLE.

SCADRV includes: CSLP ( X25 firmware)

CISDRV includes: CLP ( CCIS firmware)





         …0c…insert 3 sider for Appendix A…0c…