DataMuseum.dk

Presents historical artifacts from the history of:

Rational R1000/400 Tapes

This is an automatic "excavation" of a thematic subset of
artifacts from Datamuseum.dk's BitArchive.

See our Wiki for more about Rational R1000/400 Tapes

Excavated with: AutoArchaeologist - Free & Open Source Software.


top - download
Index: ┃ B T

⟦1d297b57f⟧ TextFile

    Length: 1510 (0x5e6)
    Types: TextFile
    Names: »B«

Derivation

└─⟦149519bd4⟧ Bits:30000546 8mm tape, Rational 1000, !projects 93-07-13
    └─ ⟦124ff5788⟧ »DATA« 
        └─⟦this⟧ 
└─⟦a7d1ea751⟧ Bits:30000550 8mm tape, Rational 1000, !users!projects 94_04_11
    └─ ⟦129cab021⟧ »DATA« 
        └─⟦this⟧ 
└─⟦f64eaa120⟧ Bits:30000752 8mm tape, Rational 1000, !projects 93 02 16
    └─ ⟦6f12a12be⟧ »DATA« 
        └─⟦this⟧ 
└─⟦2f6cfab89⟧ Bits:30000547 8mm tape, Rational 1000, !projects 94-01-04
    └─ ⟦d65440be7⟧ »DATA« 
        └─⟦this⟧ 
└─⟦a7d1ea751⟧ Bits:30000550 8mm tape, Rational 1000, !users!projects 94_04_11
    └─ ⟦129cab021⟧ »DATA« 
        └─⟦e24fb53b7⟧ 
            └─⟦this⟧ 

TextFile

with Application_Template;
with Condition;
with Fact;

use Condition;
use Fact;
package body Bundle_Template is

    type Rule_Names is (First, Second, No_More);

    -------------------------------------------------------------------------------
    package First_Rule is
        function  Premiss return Join_Descriptor;
        procedure Action (The_Facts : Fact.Collection);
    end First_Rule;

    package body First_Rule is separate;

    -------------------------------------------------------------------------------

    package Second_Rule is
        function  Premiss return Join_Descriptor;
        procedure Action (The_Facts : Fact.Collection);
    end Second_Rule;

    package body Second_Rule is separate;

    -------------------------------------------------------------------------------

    package Rule_Bundle is  
       new Application_Template.Rule_Base.Generic_Rule_Bundle
              (The_Bundle => Application_Template.Rule_Bundle_1,
               Rule_Names => Rule_Names,
               Nothing    => Rule_Names'(No_More),

               Rule_1     => First,
               Premiss_1  => First_Rule.Premiss,
               Action_1   => First_Rule.Action,

               Rule_2     => Second,
               Premiss_2  => Second_Rule.Premiss,
               Action_2   => Second_Rule.Action);

    procedure Do_Action (For_Rule : Rule_Id; On_Facts : Fact.Collection) is

    begin
        Rule_Bundle.Do_Action (For_Rule, On_Facts);
    end Do_Action;

end Bundle_Template;